Abstracts

Two days, 5 themes, over 30 inspiring presentations

Presentations at CS International 2024 are grouped into 5 key themes which collectively provide complete coverage of the compound semiconductor industry.

If you are interested in speaking at CS International 2024, please contact info@cs-international.net or call +44 (0)24 7671 8970.

2024 Speakers Include

Aixtron
AK Optics Technology Co. Ltd
Applied Materials
Bruker
C & D Semiconductor
Cambridge GaN Devices
Coherent
Element3-5 GmbH
EV Group
FBH Berlin
Fraunhofer IISB
Fraunhofer ISE
GE Aerospace
htt Group
ICS
imec
IQE
iSLight
LayTec AG
Malvern Panalytical
Microchip
Nanotronics
Omdia
Onto Innovation
PulseForge (in association with Teikoku Taping Systems Inc)
Quantum Power Transformation
RayGen
Riber
Sanan
scia Systems GmbH
Semilab
Silvaco
Soft-Epi
STMicroelectronics
SweGaN
Tampere University
Thermo Fisher Scientific
TRUMPF
Umicore
University College London
Usach
Veeco
Vertilas
Wolfspeed
Yole Group

2024 Presentation Abstracts

New frontiers for the LED

Development of far-UVC LEDs for sensing and skin tolerant antisepsis

Presented by Sven Einfeldt, Scientist, FBH Berlin

The state of development of LEDs with a very short emission wavelength below 240 nm, so-called far-UVC LEDs, is presented. The main focus is on progress in increasing the maximum emission power, the efficiency and the reliability of the devices and understanding the physics behind current limitations in performance. Technological aspects along the entire fabrication chain, i.e. from epitaxy, chip process technology and chip packaging will be discussed. Finally, turn-key ready irradiation systems based on these LEDs and results from their use in the fields of gas sensing and antisepsis on humans will be shown.

Heterogenous Integration of Compound Semiconductors by W2W and D2W Bonding

Presented by Anton Alexeev, Business Development Manager, EV Group

The presentation highlights the cutting edge developments in heterogeneous integration of compound semiconductors with a focus on the innovative technologies of W2W and D2W bonding. The advanced processes demonstrated in the presentation foster state of the art micro LED display development and many other technologies. The key methodologies, challenges and applications associated with these advanced bonding techniques are demonstrated.

Making monolithic RGB displays with InGaN

Presented by WonTaeg Lim, Research Director, Soft-Epi

To make a next-generation ultra-high-resolution full-color micro-LED display, a vertical type monolithic epitaxy with RGB epi layers stacked on a single substrate is the key to success. Conventionally, a stacked epi structure was realized by growing RGB epitaxial layers on different substrates and then using a complex process of wafer bonding and removing the substrates. In this study, a monolithic RGB LED layer was manufactured by continuously growing RGB epi layers using an indium gallium nitride epitaxial technology. We introduce a method to grow each R, G and B epitaxial layer with independent PN junctions on a single substrate through one-time epitaxy. No needs of wafer bonding.

 
Ensuring SiC's phenomenal success

A Hybrid Defect Inspection System for SiC substrate and Epi applications

Presented by Aris Ma, CEO, AK Optics Technology Co. Ltd

Traditionally either dual laser or DIC microscopy systems are used for SiC defect inspection and it became known that each technology has its own pros and cons. For example, dual laser system may be better for particle and shallow scratch defect capture while weak on pit and bump and PL black. A new hybrid system with dual laser and DIC microscopy combined are developed to have a better defect capture rate and better defect classification while maintaining a compatible throughput with the conventional inspection tools.

Accelerating semiconductor technologies for the green revolution

Presented by Shiva Rai, Director of Technology, Compound Semiconductors and Photonics, Applied Materials

The Clean Energy Revolution is one of the mega trends that’s driving huge demand for semiconductors today and we see it only getting bigger in the future. The three areas of transitions happening in this space are electric vehicles, renewable energy, and smart grid technologies. Silicon based technologies have been supporting the power semiconductor demand in these specific applications, but the two material systems that recently took the industry by a storm with their superior material properties over Silicon are Silicon Carbide (SiC) and Gallium Nitride (GaN). The emergence of electric vehicles has boosted the need for efficient power conversion technologies like SiC MOSFETs and GaN HEMTs (high electron mobility transistors). These devices are driving higher power conversion efficiencies and higher power densities in applications like traction inverters, on-board chargers, and dc-dc converters. With the inception of SiC MOSFETs into traction inverters for electric vehicles, the SiC industry jumped on a very steep growth trajectory that is driving innovations in wafer scaling, device architectures and high-volume manufacturing, simultaneously. Similarly, the introduction of GaN HEMTs into consumer chargers has demonstrated its capability of high-power densities for low-voltage applications and the industry is working on translating these benefits to applications in electric vehicles like on-board chargers and dc-dc converters. Some of the key challenges the compound semiconductor industry is facing today are speed of innovation, increasing device complexity, reliability, and yield. In this presentation, we will introduce Applied Materials process solutions for SiC and GaN power devices. We will share some examples on how we address some of the key challenges in these technologies and help accelerate their path to high-volume manufacturing.

Capital Efficient Systems for SiC Manufacturing Expansion and R&D

Presented by Brian Stickney, Applications Engineering, Customer Service and International Sales, C & D Semiconductor

SiC devices are driving major changes in the use and consumption of power, enabling a more environmentally friend way of living. The aggressive adoption rate requires expanding manufacturing levels and accelerating product development. The basic process technology and wafer sizes can be accommodated in silicon wafer fabs that are currently dormant or at low production levels. Additionally, green field manufacturing facilities are being build, with large amounts of capital committed to the growth and adoption of SiC devices. This talk presents systems designed for efficient use of capital for manufacturing and R&D expansion: pricing that enables expanding capital purchases; operational efficiency provided by high system availability and reliability with low consumable cost.

Challenges and solutions in new generation SiC metrology

Presented by Dr. Eszter Najbauer, Application scientist at Semilab Ellipsometry Department, Semilab

The ever-increasing market of electrical vehicles and power devices has created a high demand for silicon carbide (SiC) due to its superior properties compared to silicon. Semilab’s metrology systems address the critical challenges in SiC substrate, EPI and device manufacturing. This presentation explores the complexities of structure and composition, with a focus on Fourier-transform infrared spectroscopy (EIR) coupled with optical and electrical measurement use-cases. With these advances, Semilab aims to empower the wide-bandgap semiconductor industry with comprehensive metrology solutions, enabling the seamless integration of SiC technology across diverse applications while ensuring superior device performance and reliability.

Challenges in HVM Amidst Evolving Device Architectures and Requirements for Compound Semiconductor based Power Devices

Presented by Nick Keller, Director of Applications Development, Onto Innovation

With the current demand for power devices across multiple applications soaring, device makers are developing production solutions across multiple material sets including Silicon, Silicon Carbide, Gallium Nitride and others. With each device technology offering specific performance attributes comes a specific device architecture and inherent device processing challenges unique to both the material and device design. This presentation explores some of the key device high value problems that directly impact device performance and discusses the metrology and inspection techniques that have been developed to help address each in high volume manufacturing.

Coating at its best - Spraying graphite parts with tantalum carbide cuts the cost of producing SiC crystals

Presented by Dr.-Ing. Matthias Trempa, Senior Scientist, Fraunhofer IISB

The rapidly expanding SiC power electronic market requires the installation of large production capacities for the manufacture of SiC crystals, epilayers and devices. This is also associated with a large demand for graphite parts, which are subject to a great deal of wear during the manufacturing processes. Costly high temperature and corrosion resistant protective coatings based on high purity CVD tantalum carbide (TaC) are already established in SiC epitaxy and PVT reactors. To save costs, an innovative spray coating technology developed by Fraunhofer IISB gives the opportunity to create an equally protective TaC coating on relevant graphite parts.

Cutting-edge SiC Manufacturing: Beyond Chemical-Mechanical Constraints

Presented by Philipp Böttger, Technical Sales Manager, scia Systems GmbH

The growing interest in Silicon Carbide (SiC) materials leads to a shift in manufacturing demands beyond conventional chemical-mechanical polishing (CMP) restrictions. Ion beam trimming (IBT), traditionally applied to adjust film thicknesses, is gaining significance in the context of total thickness variation reduction (TTVR). The process allows precise surface modification and enhances the material's overall quality by reducing the surface roughness. This ensures a smoother surface finish, which is crucial for efficient high-end applications, e.g., higher breakthrough voltages for power devices. In addition, sub-surface damage reduction in SiC manufacturing can offer distinct advantages. For example, it minimizes the risk of surface defects commonly associated with mechanical etching processes. As a plasma process, ion beam trimming also avoids mechanical stress for the substrate, which is particularly advantageous by contributing the preservation of material integrity and enhancing the overall reliability of SiC components. This presentation addresses an evolution in SiC manufacturing

Enabling Low Cost SiC Boule Fabrication – The BoulePro 200AX is the New Process Of Record

Presented by Jeff Gum, Business Development Manager, Usach

USACH deploys machining solutions for many different materials used in the semiconductor manufacturing space. This presentation addresses the history of USACH’s entry into semiconductor materials, how this core expertise allowed for entry into the SiC market, and other related materials solutions under development for the semiconductor space. Technology updates on the BoulePro-200AX are offered with USACH’s production expansion strategy. The Pro Series portfolio of machines used for multi-process manufacturing of advanced materials is also introduced.

Giving SiC a superjunction

Presented by Reza Ghandi, Principal Engineer at GE Global Research, GE Aerospace

We present recent progress on two complementary technologies in SiC Medium-Voltage (MV) power devices. These technologies include charge-balanced (CB) and deep-implanted vertical-pillar superjunction (SJ) structures, which serve as two scalable alternatives to conventional superjunction technologies, including multi-epitaxial growth and trench-refill. We review the fabrication steps for >3kV SiC CB and SJ diodes and MOSFETs, which are formed using high-energy (MeV) implantations. These switches can enable the next generation of MV power converters and can replace MV unipolar SiC switches with limited performance at higher frequencies.

Industry ready detection of TSDs and BPDs in SiC wafers

Presented by Dr.-Ing. Christian Reimann, Head of Centre of Expertise for X-ray Topography at Fraunhofer IISB., Fraunhofer IISB

The Center of Expertise for X-ray topography (XRT) is introduced, a collaboration between the metrology tool manufacturer Rigaku and Fraunhofer IISB. The achievements in the field of SiC defect detection on full wafer scale with XRT will be presented: First the detection of threading screw dislocation (TSD) as highly reproducible and reliable measurement is shown, and second, we will introduce FastBPD detection: High-speed full wafer BPD density mapping, taking only 5 minutes for a 150mm wafer to complete. Based on this development, TSD and BPD detection by XRT was received a SEMI Standard, making this approach a common language for the SiC industry.

Modernizing Industrial Low Voltage Motor Drives with Silicon Carbide

Presented by Pranjal Srivastava, Strategic Market Development (Global) at Wolfspeed, Wolfspeed

Meet key industrial low voltage motor drive energy regulations with silicon carbide and enable up to 2.5% overall efficiency improvement while overcoming thermal limitations that plague traditional silicon IGBTs. The presentation would focus on why it is time to modernize embedded and integrated motors to address climate change. It will be demonstrated how Wolfspeed’s silicon carbide devices achieve stringent efficiency targets while reducing overall system size, cost and audible noise.

Next-Generation Factory Inspection: Improving Performance by Synthesizing Intelligent Microscopy with AI-backed Process Control

Presented by Sanjay Raveendranath, Director of Product, Nanotronics

AI-backed process control augments AOI capabilities by providing facility-wide in situ anomaly detection, KPI prediction, and autonomous control of equipment, all while continuously monitoring for security breaches. This signals a paradigm shift in inspection system architecture, as the data stored by a single inspection tool can now be leveraged to train AI models that produce “Virtual Inspection” measurements at scale, at low cost, with zero throughput impact, for every process layer. As manufacturing volume grows, the ability to inspect each stratum of production becomes essential, and existing AOI systems generate the most valuable insights when linked with a panoptic machine learning agent that provides complete overview of facility performance.

Powering the SiC Revolution with Vertical Integration

Presented by Ajay Poonjal Pai, Director of WBG Innovation & Application Engineering, Sanan

Silicon Carbide has emerged as a promising material for power semiconductors, owing to its higher bandgap compared to Silicon. The higher bandgap enables unipolar power switches in the kilo volt range, bringing significant benefits in terms of efficiency and power density. As a consequence, SiC is already seeing mass adoption in various applications. However, several challenges still remain. In this presentation, the key benefits of SiC as well as the challenges in mass adoption of SiC are discussed. It will be explained how Sanan Semiconductors is working to solve some of these challenges, with its vertically integrated SiC production, i.e., from substrate to devices.

PulseForge and Teikoku Taping Systems Announce Novel Fully Automated Photonic Debonding Platform

Presented by Vahid Akhavan, Director of Transitional Technologies at PulseForge, PulseForge (in association with Teikoku Taping Systems Inc)

PulseForge and Teikoku Taping System (TTS) will introduce a fully automated photonic debonding system with tape mounting and detaping capabilities. This tool seamlessly integrates PulseForge's revolutionary photonic debonding solution with TTS's state-of-the-art wafer handling technology, offering a cost-effective, clean, and high-throughput solution that has the potential to transform the electronics packaging industry. The photonic debonding process utilizes sophisticated flash lamps to generate high-intensity light pulses along with a reusable inorganic light absorber layer. This innovative technology enables the seamless separation of temporarily bonded wafer-pairs for critical processes such as wafer thinning, RDL build, Fan-out, and substrate transfer. This presentation will address the specifics of the photonic debonding process, highlighting its ability to separate temporarily bonded wafers from glass carriers with minimal stress. Successful debonding results for various thinned wafers (

Sample preparation and TEM imaging techniques for advanced power device analysis

Presented by Antonio Mani, Business Development Manager, Thermo Fisher Scientific

Compound semiconductors, like SiC and GaN, are increasingly attractive for their ability to operate at higher voltages, currents, and frequencies, especially in power and RF devices. However, fabricating wafers using these compounds has proven to be challenging, which can adversely impact manufacturing yield and costs. In addition to conventional semiconductor defects, one of the challenges is the presence of crystalline dislocations that are often difficult to identify and characterize. This presentation will use real world use cases to discuss; defects including crystalline dislocations, cross-section and TEM lamella focused ion beam sample preparation, and the performance advantages provided by ion sources other than Ga+.

Sharpening SiC Wafer specs and Frontend Performance by Crystal Orientation Metrology

Presented by Lars Grieger, Segment Manager - Semiconductor, Malvern Panalytical

We present on the orientation determination of semiconductor crystals for several different steps of manufacturing (cutting, grinding,end control) towards a finalized wafer as well as applications in the front end for MOSFET trench orientation. Offcut magnitude precision is evaluated to 0.003° 1σ within 10s measurement time. This technology enables the ultra fast orientation of boules and a variety of frames allows precise orientation transfer to sawing or grinding equipment to maximize the yield from as grown crystals. Automation options range from manual to fully fab compliant with benchtop to workfloor sized installations at a throughput of more than 500k+ wafers per automated wafer tool per year.

Silicon Carbide: a game changer in power electronics

Presented by Mario Saggio, R&D Design Director – Automotive & Discrete Group – Company Fellow STMicroelectronics, STMicroelectronics

Silicon carbide is not just another semiconductor, it is a true game changer in the world of power electronics. Its superior physical properties have made it the replacement for silicon, and its potential is now being fully realized. What makes silicon carbide so exceptional is its ability to handle high voltages and temperatures, making it the perfect solution for high-power applications. Silicon carbide devices offer a reliable solution for achieving the highest efficiency conversion systems, which makes them a vital investment for future generations and a key player in the next era of power electronics.

Supporting SiC Success Stories Through Technical Innovation

Silicon Carbide’s rise to be "the next big thing“ in the Semiconductor market is out of question when looking at its exciting material characteristics; however, these characteristics do not only bring new possibilities, but also new challenges, especially when it comes to high volume production of SiC technologies. To master these challenges, new technical innovations have to be introduced in wafer fab tools to securely and reliably handle, identify and process SiC wafers in a large scale, paving the way to a mass use of wide-bandgap semiconductor technology.

The Unspoken Impacts of SiC Power Packaging

Presented by Kevin Speer, Technology Director of Silicon Carbide Business Unit, Microchip

Silicon IGBTs have been pervasive in high-voltage power electronics applications for decades; as such, the packages designed for these products have become largely standardized. However, these packages were not designed with fast switching devices like silicon carbide (SiC) in mind. In this talk, the sources of parasitic inductance in power packaging will be reviewed, and the harms these can cause when paired with SiC power devices will be described. A direct comparison will be shown of a SiC MOSFET half bridge in a standard package and an advanced package, directly illustrating the importance of SiC power packaging.

 
Expanding horizons for surface emitters

Building, powerful, blue-surface-emitting SLEDs

Presented by Juan Morales, Product Development Manager, iSLight

Experience the future of photonics with iSLight, a dynamic start-up revolutionizing the industry through powerful blue-surface-emitting superluminescent light-emitting diodes (SLEDs). Uncover the groundbreaking technology driving our speckle-free, surface-emitting, power-scalable solutions using on-wafer arrayed devices. With a focus on high-power outputs, our SLEDs offer immense potential in applications such as high-power lighting, microscopy imaging, machine vision inspection, and augmented reality. Join us to explore the innovative techniques and methodologies employed in developing these cutting-edge devices, and witness how iSLight is reshaping the landscape of photonics, delivering exceptional performance and versatility.

Expanding the scope of VCSELs through wavelength extension, added functionality and high power density

Presented by Julien Boucart, Senior Director Product Management, Coherent

VCSELs have become the predominant source of illumination for various key applications such as data communication, and consumer electronics due to their intrinsic manufacturability advantages which allows them to be produced in high volume, at low costs with high quality. The fields of applications of VCSELs can be further expanded in the growing field of optical sensors by either expanding the wavelength range, increasing the built in functionality and increasing the peak power. In this presentation, we will present the latest develop at Coherent along those three axes: extension to SWIR wavelengths, adding functionality through bottom side emission (BSE) and achieving extremely high peak power density for long range LIDARs.

Novel high-power VCSEL laser modules for Battery Manufacturing

Presented by Roman Koerner, Head of Global R&D, TRUMPF

Drying of electrode coatings is a delicate process and consumes ~30% of the energy in Li-ion battery manufacturing. Currently convection ovens are used that are inefficient and very large (60 – 100 m long). Laser drying offers several advantages, mainly improved energy efficiency, reduced floor space and increased process control. We developed a new generation of high-power laser modules for laser drying in battery electrode fabrication. Low power densities and homogeneous heating with full system efficiencies > 50% is within the record system efficiencies offered today. Moreover, simple scalability of the illumination profile and highly standardized fabrication techniques are the game changer benefits from using VCSELs as light source.

Placing photonic crystal nano-lasers to silicon

Presented by Mingchu Tang, Lecturer, University College London

The development of data transmission speed through electronic components has been reaching a bottleneck due to the limited bandwidth and power density, despite new nanofabrication method for advanced microprocessor developed with enormous amount of investment. Accordingly, a new technology with advanced Si nanophotonics has been emerged as a promising candidate not only for the next-generation chip-scale data communication network within data centers, but also for the optical computing which has a higher bandwidth, faster speed, and higher power density than the conventional electronic microprocessor. Recently, we have demonstrated a potential solution to realise highly efficient Si-based nanophotonic integrated circuits, by using photonic crystal cavity to significantly reduce the footprint and enhance the laser performance significantly.

Speeding VCSEL feedback

Presented by Samuel Shutts, Senior Lecturer in Photonics and Optoelectronics, Cardiff University, ICS

VCSELs pervade our everyday lives, from face recognition in smartphones to communication networks, and the ramp in demand is showing no signs of slowing. Pressure for manufacturers to ship more chips, combined with tighter specification tolerance of emerging applications has resulted in an ever-increasing need for rapid device-level feedback within a production cycle. To meet this need, we developed a 24hr quick-fab VCSEL process within the Institute for Compound Semiconductors and on-wafer testing to deliver assessment of wafer-uniformity and performance validation. Here we present examples of quick-fab for VCSELs grown on GaAs and Ge substates up to 200mm in diameter.

VCSELs: Driving Innovations in 3D Sensing and Data Communication

Presented by Ali Jaffal, Technology & Market Analyst, Yole Group

Vertical Cavity Surface Emitting Lasers (VCSELs) are pivotal in consumer and datacom markets, catalyzing 3D sensing and high-speed data transmission. Apple's 2017 shift to GaAs-based VCSELs marked a game-changer, powering facial recognition and augmented reality. Lumentum and Coherent have steered 3D sensing, but a new entrant in Apple's supply chain with innovative VCSEL technology may disrupt the status quo. Meanwhile, Artificial intelligence's ascendancy demands higher data rates per wavelength, stretching optical communications. The future eyes 100G VCSELs, poised for substantial growth by 2024. Several companies are currently in the qualification phase, competing to establish their presence in this emerging market. The presentation will dissect the impact of the third player in Apple’s 3D sensing supply chain, AI's influence, and GaAs VCSELs' market projections over the next five years.

Vertilas InP VCSELs to address fast growing and novel applications at and beyond 1.3 µm

Presented by Christian Neumeyr, CEO, Vertilas

Vertical Surface Emitting Lasers (VCSEL) based on InP material are set to being deployed for volume and novel applications in the 1.3 µm to 2.3 µm wavelength range. As such they continue the successful deployment of the VCSEL technology for long wavelength applications that include high density optical data communications, gas sensing and 3D sensing. Vertilas highly energy efficient and top performing VCSEL products, based on a unique InP Buried Tunnel Junction concept, have been proven in demanding applications for well over 15 years. The technology and portfolio of single mode and multi mode VCSELs, as well as single emitters, 1D and 2D arrays will be presented along with their key characteristics and benefits for existing and next generation markets.

 
Taking power from the photon

Germanium Substrates for Photonics and PV: Ensuring Supply Security, Advancing Recycling and Enabling CMOS integration

Presented by Ivan Zyulkov, Commercial Manager EU & Asia, Umicore

Recent export restrictions on Germanium and Gallium by China have significantly impacted the global compound semiconductor community, affecting various industries worldwide. Germanium substrates have traditionally been vital for the space solar cell market, which is experiencing growth due to the development of new satellite constellations and planning of numerous space projects. Furthermore, the rise in terrestrial concentrated photovoltaic (CPV) applications demands a high volume of Ge substrates, emphasizing the need for cost-effective solutions. These trends, coupled with the growing demand for Ge in products like optical crystals and blanks, have markedly increased the market demand for Germanium metal. In this presentation, we will explore how recycling capabilities can mitigate the challenges of sourcing virgin Germanium. We will present Umicore's recycling capabilities and their potential to secure a sustainable European Ge supply. Additionally, we will discuss the environmental and financial advantages of recycling Ge substrates for volume applications in detail. The recycling and reuse of Ge substrates are pivotal in the CMOS integration of III-V materials. The growing consumer and automotive markets are propelling the development of new photonic devices, such as micro-LEDs, multi-junction VCSELs, and imagers for the NIR and SWIR spectra. Despite the prevalent use of GaAs substrates in photonics device production, advancements in Germanium substrates offer compelling benefits over GaAs, including compatibility with CMOS fabrication standards, which is constrained by GaAs wafer sizes and contamination concerns. Umicore's development of 8-inch and 12-inch Ge substrates bridges the gap between the III-V and semiconductor industries, facilitating improved form factors, device performance, and cost reductions. To meet the low-cost and high-volume demands for space PV and CPV Ge substrates, and to enable cost-effective recycling during CMOS integration in photonics, Umicore is developing a reusable Ge substrate platform. This approach allows for epitaxial growth on reusable Ge substrates, after which the functional materials are transferred to another substrate (such as glass or silicon), and the Ge substrate is reconditioned for subsequent epitaxial growth cycles. This innovation not only reduces process costs and the need for virgin materials but also minimizes the CO2 footprint of the overall manufacturing process.

Integrated storage unlocks CPV's full potential

Presented by Kira Rundel, Head of Strategic Projects, RayGen

RayGen is commercialising a new approach to high-tech solar PV with integrated long-duration energy storage (LDES), offering a comprehensive solution for the flight against climate change. RayGen’s approach combines high efficiency, multijunction photovoltaic cells that deliver over 38% power conversion efficiency under concentration, with a water-based cooling system that forms the basis of the storage technology. Similarly to conventional solar PV, energy is generated during daytime hours, however RayGen’s integrated storage enables the delivery of low-cost, dispatchable power, available 24/7. Through investment from global energy majors in Equinor, SLB (previously Schlumberger), Chevron, AGL, Photon Energy Group and the Australian Renewable Energy Agency, RayGen has delivered the world’s largest next-generation LDES project in Carwarp, Australia. A growing, GW-scale pipeline of utility-scale projects is being developed in collaboration with RayGen’s partners that will require a step-change in multijunction solar cell manufacturing capacity globally.

Lattice-matched III-V solar cells. Progress and application opportunities

Presented by Prof. Mircea Guina, Optoelectronics Research Centre, Tampere University, Tampere University

III−V compound semiconductors multijunction solar cells have been at the frontier of setting conversion efficiency records since early implementations of 2-junction architectures. Latest efficiency records are about 47% and correspond to 4-junction devices under concentrated solar illumination. The progress in developing low-bandgap GaInNAsSb materials latticed matched to GaAs and Ge, which are instrumental for further increasing the number of junctions towards better spectral utilization, is reviewed. Results concerning latticed matched solar cells with 5 and 6 junctions are presented and discussed in connection with possibility to reach a CPV efficiency of 50% and use in space solar power generation.

Record-breaking solar cells

Presented by Dr. Oliver Höhn, Head of group "III-V Semiconductor Technology", Fraunhofer ISE

High concentration photovoltaics (CPV) is the highest performance PV technology in the world due to the use of high-efficiency III-V multi-junction solar cells and the logarithmic increase of voltage with concentration. Recently, we have demonstrated a 4-junction solar cell with a record efficiency of 47.6%. This cell is based on an inverted GaInP/AlGaAs-tandem solar cell grown on GaAs substrate wafer-bonded to a GaInAsP/GaInAs tandem solar cell grown upright on InP substrate to form a monolithic 2-terminal device. In this talk we present results of this current champion solar cell structure and show how it was realized with the help of surface activated direct wafer-bonding. We will further give and outlook on the industrial roadmap for these high performance III-V multi-junction solar cells.